Syndiquer le contenu
Mis à jour : il y a 3 heures 7 min

Intel Gaudi 2 Remains Only Benchmarked Alternative to NV H100 for GenAI Performance

il y a 3 heures 7 min
Newest MLPerf results for Intel Gaudi 2 accelerator and 5th Gen Intel Xeon demonstrate how Intel is raising the bar for generative AI performance across its portfolio and with its ecosystem partners.

Aligning Sustainability Efforts Across the Semiconductor Value Chain

il y a 3 heures 7 min
More than 140 organizations participated in the inaugural Intel Sustainability Summit to reduce the industry?s environmental footprint and work toward a future of more sustainable computing.

Intel Announces New Program for AI PC Software Developers and Hardware Vendors

il y a 3 heures 7 min
Intel expands its AI PC Acceleration Program to better equip software developers and independent hardware vendors.

Intel and Biden Admin Announce up to $8.5 Billion in Direct Funding Under the CHIPS Act

mer, 27/03/2024 - 07:50
Proposed funding, coupled with an investment tax credit and eligibility for CHIPS Act loans, would help Intel advance American semiconductor manufacturing and technology leadership in the AI era.

US CHIPS Act Funding for Intel

mer, 27/03/2024 - 07:50
Federal government benefits help Intel advance American semiconductor manufacturing and technology leadership.

Intel Celebrates CHIPS and Science Act Direct Funding Announcement (Replay)

mar, 26/03/2024 - 06:08
Intel CEO Pat Gelsinger leads an event to celebrate Intel?s CHIPS and Science Act direct funding announcement.

Redefining the Foundry for an Era of AI

mer, 20/03/2024 - 09:08
Behind the Builders: As AI brings new entrants into chipmaking, Bob Brennan and Intel Foundry offer a wide menu of services and technologies to combine ?the best of Intel? with customer designs.

Media Alert: Intel to Showcase AI Everywhere for the Enterprise at Vision 2024 Conference

mer, 20/03/2024 - 09:08
Join Intel executives and industry luminaries from Deloitte, Red Hat, Databricks and others to learn about and discuss industry-changing solutions accelerating AI adoption.

Intel Core 14th Gen i9-14900KS Powers Desktop PCs to Record-Breaking Speeds

mer, 20/03/2024 - 09:08
At 6.2 GHz frequency out of the box, the i9-14900KS gives PC enthusiasts top-end power for desktop systems.

Intel Appoints Stacy Smith to Board of Directors

lun, 18/03/2024 - 03:24
Smith will serve as an independent director and join the board?s Audit & Finance Committee.

Intel?s Software-Defined Vehicle Delivers Efficiency and Performance

ven, 15/03/2024 - 17:12
Intel offers the auto industry silicon-enforced virtualization features to create software-defined vehicles done right.

Intel Launches Altera, Its New Standalone FPGA Company

jeu, 14/03/2024 - 15:52
Altera prioritizes customers with end-to-end FPGAs, accessible AI, software and supply resilience.

Intel Corporation to Host Investor Webinar on New Segment Reporting

jeu, 14/03/2024 - 15:52
CEO Pat Gelsinger and CFO David Zinsner will discuss the company?s transition to its internal foundry reporting structure.

Intel at MWC Barcelona 2024

jeu, 14/03/2024 - 15:52
Intel demonstrates innovations across hardware, software and services?bringing AI Everywhere.